• Àüü
  • ÀüÀÚ/Àü±â
  • Åë½Å
  • ÄÄÇ»ÅÍ
´Ý±â

»çÀÌÆ®¸Ê

Loading..

Please wait....

±¹³» ³í¹®Áö

Ȩ Ȩ > ¿¬±¸¹®Çå > ±¹³» ³í¹®Áö > Çѱ¹Á¤º¸Åë½ÅÇÐȸ ³í¹®Áö (Journal of the Korea Institute of Information and Communication Engineering)

Çѱ¹Á¤º¸Åë½ÅÇÐȸ ³í¹®Áö (Journal of the Korea Institute of Information and Communication Engineering)

Current Result Document :

ÇѱÛÁ¦¸ñ(Korean Title) À¯ÇÑü GF(2m)»óÀÇ ºñÆ®-º´·Ä °ö¼À±âÀÇ ¼³°è
¿µ¹®Á¦¸ñ(English Title) Design of Bit-Parallel Multiplier over Finite Field GF(2m)
ÀúÀÚ(Author) ¼ºÇö°æ   Hyeon-Kyeong Seong  
¿ø¹®¼ö·Ïó(Citation) VOL 12 NO. 07 PP. 1209 ~ 1217 (2008. 07)
Çѱ۳»¿ë
(Korean Abstract)
º» ³í¹®¿¡¼­´Â »ó¿¡¼­ Ç¥ÁرâÀú¸¦ »ç¿ëÇÑ µÎ ´ÙÇ×½ÄÀÇ °ö¼ÀÀ» ºñÆ®-º´·Ä·Î ½ÇÇöÇÏ´Â »õ·Î¿î ÇüÅÂÀÇ ºñÆ®-º´·Ä °ö¼À±â¸¦ Á¦¾ÈÇÏ¿´´Ù. °ö¼À±âÀÇ ±¸¼º¿¡ ¾Õ¼­, Çǽ¼ö ´ÙÇ׽İú ±â¾à´ÙÇ×½ÄÀÇ °ö¼ÀÀ» º´·Ä·Î ¼öÇàÇÑ ÈÄ ½Â¼ö ´ÙÇ×½ÄÀÇ ÇÑ °è¼ö¿Í ºñÆ®-º´·Ä·Î °ö¼ÀÇÏ¿© °á°ú¸¦ »ý¼ºÇÏ´Â VCG¸¦ ±¸¼ºÇÏ¿´´Ù. VCGÀÇ ±âº» ¼¿Àº 2°³ÀÇ AND °ÔÀÌÆ®¿Í 2°³ÀÇ XOR °ÔÀÌÆ®·Î ±¸¼ºµÇ¸ç, À̵é·ÎºÎÅÍ µÎ ´ÙÇ×½ÄÀÇ ºñÆ®-º´·Ä °ö¼ÀÀ» ¼öÇàÇÏ¿© °ö¼À°á°ú¸¦ ¾òµµ·Ï ÇÏ¿´´Ù. ÀÌ·¯ÇÑ °úÁ¤À» È®ÀåÇÏ¿© ¿¡ ´ëÇÑ ÀϹÝÈ­µÈ ȸ·ÎÀÇ ¼³°è¸¦ º¸¿´À¸¸ç, °£´ÜÇÑ ÇüÅÂÀÇ °ö¼Àȸ·Î ±¸¼ºÀÇ ¿¹¸¦ ¸¦ ÅëÇØ º¸¿´´Ù. ¶ÇÇÑ Á¦½ÃÇÑ °ö¼À±â´Â PSpice ½Ã¹Ä·¹À̼ÇÀ» ÅëÇÏ¿© µ¿ÀÛƯ¼ºÀ» º¸¿´´Ù. º» ³í¹®¿¡¼­ Á¦¾ÈÇÑ °ö¼À±â´Â VCGÀÇ ±âº» ¼¿À» ¹Ýº¹ÀûÀ¸·Î ¿¬°áÇÏ¿© ±¸¼ºÇϹǷÎ, Â÷¼ö ÀÌ ¸Å¿ì Å« À¯ÇÑü»óÀÇ µÎ ´ÙÇ×½ÄÀÇ °ö¼À¿¡¼­ È®ÀåÀÌ ¿ëÀÌÇϸç, VLSI¿¡ ÀûÇÕÇÏ´Ù.
¿µ¹®³»¿ë
(English Abstract)
In this paper, we present a new bit-parallel multiplier for performing the bit-parallel multiplication of two polynomials in the finite fields . Prior to construct the multiplier circuits, we consist of the vector code generator(VCG) to generate the result of bit-parallel multiplication with one coefficient of a multiplicative polynomial after performing the parallel multiplication of a multiplicand polynomial with a irreducible polynomial. The basic cells of VCG have two AND gates and two XOR gates. Using these VCG, we can obtain the multiplication results performing the bit-parallel multiplication of two polynomials. Extending this process, we show the design of the generalized circuits for degree m and a simple example of constructing the multiplier circuit over finite fields . Also, the presented multiplier is simulated by PSpice. The multiplier presented in this paper use the VCGs with the basic cells repeatedly, and is easy to extend the multiplication of two polynomials in the finite fields with very large degree m, and is suitable to VLSI.
Å°¿öµå(Keyword) Finite fields )   Bit-parallel multiplier   Systolic multiplier   irreducible polynomial)  
ÆÄÀÏ÷ºÎ PDF ´Ù¿î·Îµå