• Àüü
  • ÀüÀÚ/Àü±â
  • Åë½Å
  • ÄÄÇ»ÅÍ
´Ý±â

»çÀÌÆ®¸Ê

Loading..

Please wait....

¿µ¹® ³í¹®Áö

Ȩ Ȩ > ¿¬±¸¹®Çå > ¿µ¹® ³í¹®Áö > JICCE (Çѱ¹Á¤º¸Åë½ÅÇÐȸ)

JICCE (Çѱ¹Á¤º¸Åë½ÅÇÐȸ)

Current Result Document : 6 / 7

ÇѱÛÁ¦¸ñ(Korean Title) Machine Learning Based Variation Modeling and Optimization for 3D ICs
¿µ¹®Á¦¸ñ(English Title) Machine Learning Based Variation Modeling and Optimization for 3D ICs
ÀúÀÚ(Author) Sandeep Kumar Samal   Guoqing Chen   Sung Kyu Lim  
¿ø¹®¼ö·Ïó(Citation) VOL 14 NO. 04 PP. 0258 ~ 0267 (2016. 12)
Çѱ۳»¿ë
(Korean Abstract)
¿µ¹®³»¿ë
(English Abstract)
Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging within-die variations. This adds an additional design complexity and makes variation estimation and full-chip optimization even more challenging. In this paper, we show that the industry standard on-chip variation (AOCV) tables cannot be applied directly to 3D paths that are spanning multiple dies. We develop a new machine learning-based model and methodology for an accurate variation estimation of logic paths in 3D designs. Our model makes use of key parameters extracted from existing GDSII 3D IC design and sign-off simulation database. Thus, it requires no runtime overhead when compared to AOCV analysis while achieving an average accuracy of 90% in variation evaluation. By using our model in a full-chip variation-aware 3D IC physical design flow, we obtain up to 16% improvement in critical path delay under variations, which is verified with detailed Monte Carlo simulations.
Å°¿öµå(Keyword) 3D ICs   Variation   Machine-learning   Regression  
ÆÄÀÏ÷ºÎ PDF ´Ù¿î·Îµå